Index of /pub/linux/distributions/slsoc/soc/9-alma/rpmbuild/SOURCES/Surelog/third_party/tests/YosysTests/architecture/


../
ice40_wrapcarry/                                   13-Sep-2023 22:01                   -
ice40_wrapcarry_adders/                            13-Sep-2023 22:01                   -
scripts/                                           13-Sep-2023 22:01                   -
synth_achronix/                                    13-Sep-2023 22:01                   -
synth_achronix_error/                              13-Sep-2023 22:01                   -
synth_anlogic/                                     13-Sep-2023 22:01                   -
synth_anlogic_error/                               13-Sep-2023 22:01                   -
synth_anlogic_fsm/                                 13-Sep-2023 22:01                   -
synth_anlogic_fulladder/                           13-Sep-2023 22:01                   -
synth_anlogic_mem/                                 13-Sep-2023 22:01                   -
synth_coolrunner2/                                 13-Sep-2023 22:01                   -
synth_coolrunner2_error/                           13-Sep-2023 22:01                   -
synth_coolrunner2_fulladder/                       13-Sep-2023 22:01                   -
synth_easic/                                       13-Sep-2023 22:01                   -
synth_easic_error/                                 13-Sep-2023 22:01                   -
synth_ecp5/                                        13-Sep-2023 22:01                   -
synth_ecp5_error/                                  13-Sep-2023 22:01                   -
synth_ecp5_wide_ffs/                               13-Sep-2023 22:01                   -
synth_efinix/                                      13-Sep-2023 22:01                   -
synth_efinix_fulladder/                            13-Sep-2023 22:01                   -
synth_gowin/                                       13-Sep-2023 22:01                   -
synth_gowin_error/                                 13-Sep-2023 22:01                   -
synth_gowin_mem/                                   13-Sep-2023 22:01                   -
synth_greenpak4/                                   13-Sep-2023 22:01                   -
synth_greenpak4_dffs_r/                            13-Sep-2023 22:01                   -
synth_greenpak4_error/                             13-Sep-2023 22:01                   -
synth_greenpak4_wide_ffs/                          13-Sep-2023 22:01                   -
synth_ice40/                                       13-Sep-2023 22:01                   -
synth_ice40_dsp/                                   13-Sep-2023 22:01                   -
synth_ice40_error/                                 13-Sep-2023 22:01                   -
synth_ice40_fulladder/                             13-Sep-2023 22:01                   -
synth_ice40_mem/                                   13-Sep-2023 22:01                   -
synth_ice40_wide_ffs/                              13-Sep-2023 22:01                   -
synth_intel/                                       13-Sep-2023 22:01                   -
synth_intel_a10gx/                                 13-Sep-2023 22:01                   -
synth_intel_cyclone10/                             13-Sep-2023 22:01                   -
synth_intel_cycloneiv/                             13-Sep-2023 22:01                   -
synth_intel_cycloneive/                            13-Sep-2023 22:01                   -
synth_intel_cyclonev/                              13-Sep-2023 22:01                   -
synth_intel_error/                                 13-Sep-2023 22:01                   -
synth_sf2/                                         13-Sep-2023 22:01                   -
synth_sf2_error/                                   13-Sep-2023 22:01                   -
synth_xilinx/                                      13-Sep-2023 22:01                   -
synth_xilinx_dsp/                                  13-Sep-2023 22:01                   -
synth_xilinx_error/                                13-Sep-2023 22:01                   -
synth_xilinx_mux/                                  13-Sep-2023 22:01                   -
synth_xilinx_srl/                                  13-Sep-2023 22:01                   -
xilinx_srl/                                        13-Sep-2023 22:01                   -
xilinx_ug901_synthesis_examples/                   13-Sep-2023 22:01                   -
Makefile                                           13-Sep-2023 22:01                9970
common.v                                           13-Sep-2023 22:01                 981
run.sh                                             13-Sep-2023 22:01                7970